Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Учебное пособие 3000499.doc
Скачиваний:
6
Добавлен:
30.04.2022
Размер:
7.32 Mб
Скачать

1. Методика ускоренного курса английского языка для проектировщиков сверхбольших интегральных схем (сбис)

Учебное пособие состоит из 4 частей, тематически охватывающих основные вопросы проектирования сверхбольших интегральных схем. Каждая глава представляет три уровня освоения текста на определенную тематику. Первый уровень (Activity 1) включает тексты проблемного характера, знакомит с общей идеей текста, включает лексику, правильное понимание лексических единиц и специальных терминов, ставит перед обучаемым вопросы общего характера. Второй уровень (Activity 2) включает набор текстов конкретного содержания, ориентирует слушателей на просмотровое чтение, при котором слушатель должен понимать текст без его перевода, на составление аннотации текста. Методической инновацией учебного пособия является третий уровень (Activity 3), ориентирующий слушателей на освоение терминологического минимума (глоссария) с рядом заданий.

В учебном пособии большое внимание уделено самостоятельной работе слушателей, для чего представлен набор текстов для самостоятельного обучения. Система заданий обеспечивает многократное повторение лексического и терминологического материала. Подтекстовые задания способствуют усовершенствованию и накоплению словарного запаса, необходимого для работы над научно-техническими текстами по данной тематике.

Заключительным разделом учебного пособия является глоссарий всех глав, в котором представлены также задания тренировочного характера с целью изучения специальных терминов в области проектирования сверхбольших интегральных схем и последующее их использование в профессиональных проблемных ситуациях.

2. Empowering design for quality of silicon

Class Activity

ACTIVITY 1

Introduction TEXT 1A:

Task 1. Read the passage and guess what the text is about.

More than ever, designers are recognizing the impact of power consumption on IC performance. In every application, power management must take precedence, whether to reduce energy use, or to minimize heat dissipation to lower cooling and packaging costs. Power consumption grows exponentially at 90 nm. Voltage cannot scale indefinitely, and capacitance (dominated by more wires with higher wire capacitance) increases, while frequency keeps going up. Ineffective power management causes decreases battery life, lower chip performance, increases area due to cooling needs, or simply makes the design nonfunctional.

How are designers going to cope with the new challenges of power management? Given the increasing complexity of designs, power can no longer be considered an afterthought. Traditional methodologies address power only after the chip’s timing and area goals are met. But power must now be considered concurrently with timing and area. Moreover, power optimization should be a conscious effort, from synthesis through the final implementation of the flow.

Cadence® low-power design solutions using the Encounter™ platform can optimize power at each design stage and analyze any tradeoffs with timing. New capabilities automate the tasks of conserving power and balancing power requirements with the other critical metrics of Quality of Silicon (QoS). As QoS (defined as timing, area, and power as measured with wires) becomes more widely adopted in the industry, the importance of designs with lower power consumption will become more apparent.

Task 2. Organizing your thoughts:

  1. In what way power consumption is used in IC?

  2. How is voltage changed during power management?

  3. How are designers going to cope with new challenges of power management?

  4. What does Cadence use to optimize power out design stage?

Task 3. Study the sentences where the following words and word combinations are used:

Power awareness – понимание мощности

RTL-to-GDS flow – процесс проектирования резисторно-транзисторных логических схем с универсальной системой данных

QoS – качество кремния

Dynamic power – динамическая потребляемая мощность

Voltage islands – участки напряжения

Leakage power – мощность утечки

Thresholds – пороговые значения

Encounter RTL Compiler – компилятор для размещения и трассировки интегральных схем

Clock tree – («клоковое дерево») цепь тактового сигнала

Layout view – топологическая схема

Low-power clock tree synthesis – синтез цепи тактового сигнала с основным входом низкопотребляемой мощности

Netlist – список соединений электрической схемы

Power planning/routing – проектирование и трассировка шин питания

Nanoroute routing – трассировка с учетом требования нанотехнологий

ECSM – (effective current source model) модель эффективного источника тока

Switching and short-circuit power management – управление мощностью переключения и короткого замыкания, обусловленных сквозным током

RAM – ОЗУ, оперативная память

IR drop – падение напряжения

IR – information retrieval – поиск информации

Sense amplifier – усилитель считывания

Grammar: PRESENT SIMPLE. ACTIVE AND PASSIVE

CONSTRUCTION

MEANS OF EXPRESSING

PRESENT ACTIONS

EXAMPLE

Present Simple (Active Voice)

The Cadence power management solution offers this comprehensive approach for low-power design.

Present Simple (Passive Voice)

A balanced approach is warranted when it comes to power optimization that spans across the entire RTL-to-GDS flow

Task 4. Read the following text carefully paying attention to the italicized words and word combination and try to understand the contents of the text:

BASIC TEXT 1B:

A NEW POWER STRATEGY FOR THE BEST QOS

Power needs to be considered at the very early stages of a design, when the opportunity to save power is at a maximum. At the same time, making a design extremely power efficient results in trading off area and/or timing. A balanced approach is warranted when it comes to power optimization that spans across the entire RTL-to-GDS flow. The Cadence power management solution offers this comprehensive approach for low-power design.

Figure 1: Power consumption savings at various levels of the design

Two aspects of “power awareness” are essential for effective designs that minimize power consumption – the level of abstraction, and Quality of Silicon (QoS). The higher the level of abstraction when power is taken into account, the greater the power efficiency that can be gained, because there is more freedom to make large changes to the design implementation. New system and architecture designs can yield implementations that are 10 to 20 times more power efficient than previous designs, so optimization and analysis of power early in the design process, at high levels of abstraction, are critical (see Figure 1).

QoS is becoming the new standard for evaluation of the “goodness” of an IC design, because this measurement requires placement and routed wires that account for the majority of the timing equation in nanometer designs. QoS measurements include speed (timing of the performance-limiting paths), die area, and power. The power portion of QoS measurements consists of the static and dynamic power consumption determined using real wires. As QoS is fast becoming the metric for an entire design, a holistic set of tools is required so designers can gain a better understanding of power/area/performance tradeoffs, and come up with the most effective balance for each individual design.

Task 5. Fill in the gaps with the correct variants:

1. Power needs to be considered at the very early stages of a design, when the opportunity ….. power is at a maximum.

a) to keep b) to save c) to loose

2. A balanced ….. is warranted when it comes to power optimization that spans across the entire RTL-to-GDS flow.

a) way b) method c) approach

3. New system and architecture designs can ….. implementations that are 10 to 20 times more power efficient than previous designs, so optimization and analysis of power early in the design process, at high levels of abstraction, are critical (see Figure 1).

a) lead b) yield c) form

4. Power ….. savings at various levels of the design.

a) consumption b) use c) application

5. A ….. set of tools is required so designers can gain a better understanding of power/area/performance tradeoffs, and come up with the most effective balance for each individual design.

a) original b) primary c) holistic

Task 6. Match up the words with the definition.

a pproach

bring forth as a result

aware

supply in great quantities

power

a way of beginning something

yield

knowing; conscious

Task 7. Look through the text again and find the following words in the sentences:

  1. Warranty of balanced approach.

  2. “Power awareness“.

  3. New systems and architecture designs.

  4. Power consumption …

  5. Holistic set of tool.

  6. QoS measurements.

Task 8. Organizing your thoughts:

  1. Why do you think power need is to be considered?

  2. What is a balanced approach?

  3. Which two aspects of “power awareness“ do you know?

  4. What does fig.1 illustrate?

  5. Why is holistic set of tools required?

Task 9. Sum up the text using the following plan:

  1. Cadence power management solutions.

  2. Two aspects of “power awareness“.

  3. Power consumptions savings of various level of the design.

Task 10. Skim the following text and try to understand the subject-matter

of the text.

TEXT 1C:

A MULTILEVEL, HOLISTIC VIEW OF DESIGN FOR LOWER POWER CONSUMPTION

Designers are looking to different sources for reduction of power consumption, and will ultimately see that the solutions are required in all stages of the design. An effective power management flow would need to attack the issues in a top-down fashion, from the highest opportunity – which is in the RTL synthesis and gate optimization – to the implementation phase, and finally the validation of design integrity. The seemingly complex solutions, however, need to be cohesively thought out, so that the best QoS can be achieved and be easily applicable to reduce barriers to efficient design.

The Encounter platform’s low-power design flow addresses the power management issue with multilevel solutions throughout the design flow. A summary of the flow is shown in Figure 2.

Figure 2: Power management and the design flow

Task 11. Find sentences with the following words.

  1. Power consumption – потребление мощности.

  2. Power management – управление мощностью.

  3. Routing – трассировка.

  4. Electrical verification – электрическая проверка.

Task 12. Comprehension check:

  1. What are designers looking to?

  2. What can you tell us about effective power management?

  3. Look at fig.2 and sum up the power management and the design flow.

Task 13. Match up the words with the definition.

flow

the act of consuming or using

solution

resistor-transistor logic

RTL

an answer to, a way of dealing with …

consumption

Something that flows

ACTIVITY 2

Grammar: THE GERUND

THE FUNCTION OF THE

GERUND

EXAMPLE

Attribute

Technology scaling offers maximum benefit in this area until process technologies reach 130 nm, where the operating voltages are close to the threshold voltage of the transistor

Nominal Part of the Predicate

But maximum power savings are achieved by reducing the voltage, as this term has a quadratic effect on power

Task 14. Skim the following passage and try to understand the subject-matter of it.

TEXT 2A:

DYNAMIC POWER MANAGEMENT

Dynamic power dissipation occurs when a circuit is operating. As shown in the equation (see Appendix), this switching power can be controlled by limiting the amount of capacitance switching or limiting the frequency of operation. But maximum power savings are achieved by reducing the voltage, as this term has a quadratic effect on power. Technology scaling offers maximum benefit in this area until process technologies reach 130 nm, where the operating voltages are close to the threshold voltage of the transistor. For technologies below 130 nm, designers are looking at other ways of controlling voltage. Designing with multiple supply voltages (voltage “islands”) is becoming essential for many applications, and new tools to facilitate this process are being developed.

Task 15. Comprehension check:

  1. What is dynamic power?

  2. How are maximum power savings achieved?

  3. Which way of controlling voltage are designers looking at?

  4. What is becoming essential for many applications?

Task 16. Fill in the gaps with the correct variant.

  1. Dynamic power ….. occurs when a circuit is operating.

a) result b) dissipation c) event

  1. This switching power can be ….. by limiting the amount of capacitance switching or limiting the frequency of operation.

a) achieved b) produced c) controlled

  1. Maximum power ….. are achieved by reducing the voltage, as this term has a quadratic effect on power.

a) changes b) savings c) economies

  1. Designers are looking at other ….. of controlling voltage.

a) problem b) challenge c) way

Task 17. Sum up the text using the following plan.

  1. Dynamic power.

  2. Maximum power.

  3. Voltage “islands”.

Task 18. Skim the following passage trying to understand it and give a title to it.

TEXT 2B:

As CMOS process density has increased, leakage power dissipation has become a major issue, because it occurs even when a circuit is in idle mode. Leakage power dissipation can account for more than 50% of total power dissipation in 65-nm ICs. Effective strategies for addressing leakage power dissipation are just beginning to emerge. One is the design methodology of multiple voltage thresholds (Vt), which entails placing low-Vt cells on the critical paths and high-Vt cells on noncritical paths during both the logic and physical synthesis stages. Other techniques that control leakage power involve significant changes in design methodology. Many designers are considering techniques such as reverse biasing the substrate or just lowering substrate voltage.

Task 19. Comprehension check.

  1. Where does leakage power dissipation take place?

  2. What is the design methodology of multiple voltage thresholds?

  3. Which techniques are used by designers to low substrate voltage?

Task 20. Skim the following passage try to understand the subject-matter of it.

TEXT 2C:

DYNAMIC POWER OPTIMIZATION

There are two excellent sources for reduction of dynamic power dissipation: reducing the switching activity (f) and reducing the effective capacitance (C). Register transfer level (RTL) and micro-architecture offer a great opportunity to influence dynamic power. Encounter RTL Compiler does a concurrent optimization of timing, area, and power during RTL elaboration, global structural optimization, direct target-guided optimization, and global technology mapping, which form the basis for synthesis. The global-focused approach results in better optimization across all these metrics. These optimizations target reducing the overall capacitance. The result is that the design has a higher QoS. Apart from the power aware capacitance optimization, specific power optimization features that can optimize the switching of the clock network are also effective techniques.

Task 21. Comprehension check:

  1. How many sources for reduction dynamic power dissipation do you know?

  2. What does Encounter RTL Compiler do?

  3. What is the result of dynamic power dissipation?

Task 22. Skim the passage and find out the example of RTK clock gating.

TEXT 2D:

RTL CLOCK GATING

In many instances, data is loaded into registers infrequently, but the clock signal continues to switch at every clock cycle, which drives a large capacitive load. Registers are assigned the same value for every clock cycle. To prevent the clock from triggering the registers, a gating circuit can be used to shut off the clock from these registers. Clock gating alone can result in a 30% to 60% power savings. Figure 3 shows a typical clock-gating circuit.

Figure 3: Example of clock gating, in both logic and implementation

Traditionally, the clock gating would be part of the design process, which could require additional and complicated design effort. The newest version of Encounter RTL Compiler can perform an automated clock-gating function, using integrated clock-gating cells from a library as well as user-defined logic. This feature is possible because Encounter RTL Compiler recognizes opportunities in the logic design, and inserts clock gating from the RTL or from a gate-level netlist with a simplified process.

To enable design integrity verification, Encounter RTL Compiler also ensures that the clock signal can be observed and controlled for DFT purposes, through added test observability and controllability logic in the gating cells, and integrated capabilities for interfacing with Encounter Test within the Encounter Platform.

Task 23. Find out the sentences with the following words:

RTL – resistor-transistor logic – резисторно-транзисторные логические схемы (логика)

Encounter RTL Compiler – компилятор

Clock cycle – тактовый цикл

Gating circuit – вентильная схема

DFT – discrete Fourier transform – дискретное преобразование Фурье, ДПФ

Task 24. Which of the following do you think are true or false?

1. Clock gating requires design completed effect.

2. Figure 3 shows a typical integrated circuit

3. Encounter RTL Compiler ensures that clock signal can be absorbed and controlled for DFT purposes.

Task 25. Study the following words and word combinations in the following sentences:

Decloning and cloning – разделение и объединение логических вентилей

Clock tree – («клоковое дерево») цепь тактового сигнала

Layout view – топологическая схема

Task 26. Skim the text and try to get its main idea.

TEXT 2 E:

GATING LOGIC DECLONING AND CLONING

A method of minimizing the overall capacitance on the clock tree involves manipulating the gating logic. In the logic view, after insertion or recognition of clock gating, the clock-gating element can be combined and moved upstream in the hierarchical tree to reduce the amount of gating cells (decloning) and switch off the larger branch of logic. At the same time, this simplifies the clock network structure for physical design. Encounter RTL Compiler has the pruning capability as a post-synthesis technique.

Figure 4: Decloning and cloning of the clock-gating logic

In the layout view, the register partitions created by the clock-gating logic may not be localized. The gating logic might not be placed close to the register that it controls, but might be closer to other registers controlled by different gating logic. Using the Encounter platform, designers can analyze the placement of all the registers and merge clock-gating instances with the same control signals. This reduces the fragmentation of gating logic. Then, they can clone the gating logic to newly grouped registers to reduce the overall capacitance. Figure 4 illustrates decloning and cloning of clock-gating logic.

Task 27. Look at the fig.4 and discuss the problem of cloning / decloning:

Grammar: MODAL VERBS

THE FUNCTION OF MODAL VERBS

EXAMPLE

can

Designers can use a command in the tool to insert gating logic at the root of the clock to save the power consumed by the clock tree network feeding those instances

must

Therefore, power must be considered when the clock network is constructed

Task 28. Read the following text and try to understand the subject-matter of it.

TEXT 2F:

LOW-POWER CLOCK TREE SYNTHESIS AND ROOT GATING

The clock network is usually the largest network in a chip and is a major variable in dynamic power consumption. This network connects all the registers and contributes a huge capacitance. The clock network also has the highest switching probability (p = 1), because it switches twice in every cycle. The switching power dissipation due to the clock signal is enormous and can account for 30% to 50% of the overall power dissipation. Therefore, power must be considered when the clock network is constructed. Encounter clock tree synthesis can build clock trees while minimizing overall insertion delay by decreasing the number of levels, minimizing skews by balancing the tree, and reducing overall capacitance by selecting appropriate clock buffers. The patented smart clustering algorithm clusters the clock targets first, before building a top-down tree. Further, to save switching power while balancing skews, the algorithm can insert buffers past the gating elements so buffers are active only during the real operation.

Figure 5: Root gating

Another technique for saving power is to shut down the clock branches by identifying sets of clock-gating instances driven by the same clock, based on physical proximity. Designers can use a command in the tool to insert gating logic at the root of the clock to save the power consumed by the clock tree network feeding those instances (see Figure 5). The gating signal for the new root-gating logic is a Boolean OR of the gating signals of the clock-gating instances.

Task 29. Give a short summary of the text with the help of fig.5.

ACTIVITY 3