Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
layout editor.pdf
Скачиваний:
181
Добавлен:
05.06.2015
Размер:
3.04 Mб
Скачать

Virtuoso XL Layout Editor User Guide

Setting Up Your Virtuoso XL Layout Editor Environment

The environment variable values you choose are enforced for all subsequent design sessions until you change the value of the variables again.

Setting Environment Variables

If you use any values of environment variables consistently and do not want to set these values each time you use a command, you can set the variables to the value you customarily use for a single session or you can set them permanently in setup files such as the.cdsenv

file and the.cdsinit file.

To set environment variables for a single session, do any of the following:

Include envSetVal( ) in any other Cadence SKILL file you load

Type envSetVal( ) in the CIW

To set environment variables permanently, do any of the following:

Include the environment variables in the .cdsenv file in your home directory. For example:

layoutXL alignApplySeparation boolean t

Include envSetVal( ) in your .cdsinit file

For example, to set the Virtuoso XL alignApplySeparation variable (to force Virtuoso XL to separate aligned components by a separation factor you supply), type the following in the CIW or include it in a setup file:

envSetVal("layoutXL" "alignApplySeparation" 'boolean t)

To determine the current value of any Virtuoso XL environment variable, type in the CIW:

envGetVal("layoutXL" "alignApplySeparation" 'boolean)

Information About Online Forms

Layout XL Options Form

Edit

Global Options applies the values specified in this form to the cellview being edited as well as to all other views of this cell.

December 2002

109

Product Version 5.0

Virtuoso XL Layout Editor User Guide

Setting Up Your Virtuoso XL Layout Editor Environment

Cellview Options applies the values specified in this form only to the cellview being edited.

Connectivity

Connectivity Extractor turns on hierarchical extraction. When hierarchical extraction is turned off, the Incomplete Nets command is disabled.

Extract Connectivity to Level sets the level where hierarchical extraction stops.

Cross Selection turns on cross-selection (between layout and schematic).

Auto Abutment turns on automatic abutment, which allows prepared transistors to be automatically stacked together so that they can share pins.

Auto Space turns on automatic spacing, which allows components with the automatic spacing properties vxlInstSpacingDir and vxlInstSpacingRule to be automatically spaced according to the values specified in the properties.

Auto Permute Pins turns on automatic pin permutation during manual routing or editing.

Constraint Assisted Mode turns on constraint-assisted placement.

Enable Wire Editing enables wire editing.

Verification

Show Ignore Parameters sets the value of device properties in the schematic to those in the layout.

Show Ignore Names defines a list of properties that cause pins and instances to be ignored during Virtuoso XL updates.

Add lets you type in the names of parameters.

Remove lets you remove parameters by clicking on the name of the parameter in the list box.

Tolerance specifies the relative tolerance theConnectivity-Update-Layout Parameters uses to compare values between the layout and the schematic. If | (a/b) - 1.0 | < e then the parameters are equal. Where “a” is source parameter value, “b” is the layout parameter value, and “e” is the paramTolerance. The default of “e” is 1e-6.

Ref Libs lists the reference libraries.

Generation

December 2002

110

Product Version 5.0

Virtuoso XL Layout Editor User Guide

Setting Up Your Virtuoso XL Layout Editor Environment

Show Traverse Hierarchy Views shows the list of views included in the view list when descending a hierarchical design.

Show Layout Instance Views shows the list of views included in the stop list when descending a hierarchical design.

Add lets you type in the names of views.

Remove lets you remove views by clicking on the name of the view in the list box.

Update Layout Instances, when turned on, sets the command to replace an updated instance in the layout window with another with the same name. When turned off, it sets the command to put a marker on the old instance in the layout window and place the new instance below the design boundary.

Multiple Instances

mfactor Names lists the property names defined in the schematic other thanm or M to designate the number by which to multiply the number of transistors.The mfactor property value can be expressed as an integer or an expression.

sfactor Names lists the names of properties other than s or S defined in the schematic to designate the number of corresponding series-connected devices to be generated in the layout. The sfactor property value can be expressed as an integer or an expression.

sfactor Splitting Param lists the property names defined in the schematic in addition to r, R, c, C, l, and L to designate the parameter that needs to be calculated for each generated device.

Generate Multiple Instances, when turned on, lets Virtuoso XL place devices with the mfactor (multiplier) and sfactor (series) properties found in the schematic as multiple devices in the layout.

Initial Display

Show All Incomplete Nets activates the Show Incomplete Nets command the next time you start Virtuoso XL (not in the current session). To see all incomplete nets immediately, use the Connectivity – Show Incomplete Nets command.

Auto Arrange Windows sets the positions of the design windows the next time you start Virtuoso XL.

Device Folding

Generate Minimal Folding will create the minimum number of folded devices. If this option is not turned on then odd number of folded devices will be created. For example, it will add one finger if the device width divided by finger max width yields an even number.

December 2002

111

Product Version 5.0

Virtuoso XL Layout Editor User Guide

Setting Up Your Virtuoso XL Layout Editor Environment

Retain Instance Orientation allows the device orientation to be preserved when running the Edit-Folding or Design-Gen From Source commands with folding only turned on. When the chaining option is also turned on, the chain orientation is always with the gates in a vertical position.

pCell fingering Names specifies parameter names that defines pCell gate fingering. Folding and instance fingers are not compatible and this option is used to filter out those instances when folding.

Note: Not setting the proper names might result in abutment failures for even fingered devices and folding.

Update Parameters enables or disables the Update Layout Parameters command to update folded devices.

No Change folded devices will not be updated.

Equalize uses the updated schematic width to produce fingers of equal width. The number of fingers do not change, just the width.

Distribute uses the updated schematic width and distributes the width amongst all the fingers. The number of fingers do not change, just the width.

Load/Save

Cellview lets you load/save/delete the values specified in this form from/to the cellview from which you chose the Layout XL Options form.

Library lets you load/save/delete the values specified in this form from/to the library that includes the cellview from which you chose the Layout XL Options form.

Tech Library lets you load/save/delete the values specified in this form from/to the tech library of the cellview from which you chose the Layout XL Options form.

File lets you load/save/delete the values specified in this form from or to your.cdsenv file (the default) or a file whose name you type in the text field.

December 2002

112

Product Version 5.0

Virtuoso XL Layout Editor User Guide

6

Setting Up Device Abutment for Virtuoso XL Layout Editor

This chapter shows you how to use the Virtuoso® XL abutment capability. Abutment allows cells to be automatically aligned or overlapped and electrically connected. This chapter discusses the following topics:

Introduction to Abutment on page 114

Abutment Requirements on page 115

Setting Up Cells for Abutment on page 115

Sample Parameterized Cells Set Up for Abutment on page 121

Creating CMOS Pcells to Use with Abutment on page 125

Setting Environment Variables for Abutment on page 132

Using Device Abutment on page 134

December 2002

113

Product Version 5.0

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]