Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Учебники 60234.doc
Скачиваний:
25
Добавлен:
01.05.2022
Размер:
3.65 Mб
Скачать

Лекция № 3. Топологическое проектирование цифровых устройств для 3d изделий

Состав и структура технологических библиотек. Описание и назначение слоев технологических примитивов, ячеек, устройств и межсоединений

Топологическое проектирование цифровых устройств

Целью топологического проектирования является получение рабочей топологии устройства, обеспечивающей функциональные характеристики в диапазоне заданных условий (частота, температура и др.) и электрические параметры в соответствии с техническим заданием (напряжения, токи, нагрузочная способность и др.).

Современная методология проектирования топологи цифровых устройств предусматривает, как правило, автоматизированный синтез топологии на основе имеющихся входных данных. Под входными данными понимается синтезированная схема (нетлист), построенная на основе RTL-модели и библиотеки выбранного технологического процесса. При синтезе нетлист проходит начальную автоматическую оптимизацию дерева синхронизации в соответствии с заданными ограничениями. Также в качестве входных данных для синтеза топологии выступают топологические примитивы, т.е. ячейки, на основе которых построен нетлист, и набор различных технологических файлов.

Разработка топологии происходит в несколько этапов:

1) Этап планирования кристалла (Floogplanning). На данном этапе осуществляется размер будущего кристалла, расположение контактных площадок, предварительное размещение блоков на площади кристалла, начальная оценка коэффициента утилизации.

2) Этап размещения блоков и базовых библиотечных элементов (Placement) и разводка питания (Special Routing). На этом этапе производится размещение периферийных колец питания, размещение основных блоков, построение колец питания вокруг блоков, размещаются примитивные ячейки, не вошедшие в состав блоков, расположение горизонтальных и вертикальных шин питания (stripes, rails).

3) Этап трассировки и разводки межсоединений (Routing). На данном этапе производится построение тактового дерева, осуществляется трассировка всех межсоединений в соответствии с нетлистом.

4) Этап временного анализа и оптимизации. Синтезатор оптимизирует структуру дерева синхронизации с целью улучшения временных параметров работы схемы, подгоняя ее под заданную частоту.

5) Этап энергетической оптимизации. На этом этапе производится всесторонний анализ сети питания: оценка общего, поблочного и критического энергопотребления, статический и динамический анализ сетки питания.

6) Этап физической верификации.

7) Построение полного списка соединений (нетлиста) и извлечение файла задержек с целью осуществления моделирования - всесторонней проверки работоспособности топологии устройства.

Состав и структура технологических библиотек

Для синтеза топологии требуется технологическая библиотека. Библиотека создается фабрикой-производителем под конкретный технологический процесс, содержит все требуемые для синтеза схемы и топологии файлы и позволяет учитывать все физические особенности работы схемы, которая будет произведена с применением этого процесса, на этапе схемотехнического и топологического проектирования.

В составе стандартной базовой библиотеки (Design Kit) присутствуют:

- библиотека базовых элементов;

- lib-файл базовых элементов;

- технологический lef-файл;

- lef-файл базовых элементов;

- таблица паразитных элементов в виде tbl-файла;

- verilog-файл, содержащий verilog-описания базовых элементов;

- управляющие файлы физической верификации;

- технологические файлы настройки среды разработки.

Библиотека базовых элементов содержит в своем составе:

- библиотечные элементы, относящиеся к логике и комбинационной логике, такие как: одно-, двух- и многовходовые NOR, ОR, AND, NAND, XOR, инверторы, мультиплексоры и многие другие, а также их комбинации;

- библиотечные элементы, относящиеся к регистровой логике: защелки, триггеры;

- библиотечные вспомогательные элементы: ячейки заполнения (feeders), ячейки буферных емкостей (decap – decoupling capacitor);

- библиотечные ячейки ввода/вывода;

- библиотечные ячейки площадок питания и антистатической защиты.

Базовые библиотечные элементы являются структурной основой синтезируемой электрической схемы и топологии устройства. Для каждого библиотечного элемента существует несколько представлений: layout, schematic, symbol, functional и др. Обычно в составе типовой библиотеки присутствуют несколько базовых наборов библиотечных элементов. Кроме базовой библиотеки, может присутствовать, например, библиотека для проектирования схем с низким потреблением энергии или с высоким быстродействием, либо с пониженным или повышенным напряжением питания. Для проектирования конкретной схемы выбирается требуемый вариант из имеющихся в зависимости от требований технического задания.

Lib-файл базовых элементов представляет собой текстовое описание каждого библиотечного элемента, включающее:

- название ячейки;

- перечисление входов и выходов;

- описание электрических параметров входов и выходов (входные сопротивления, емкости нагрузки и др.);

- временные характеристики в виде таблицы коэффициентов в зависимости от нагрузки, температуры.

Технологический Lef-файл задает основные параметры технологии для управления синтезом. В нем указываются:

- сетка размещения ячеек элементов;

- общее количество металлов;

- описание для каждого из металлов: сетка трассировки, ориентация трассировки (направление трассировки: по вертикали, по горизонтали), ширина шин, внутрислойный зазор между шинами трассировки, межслойная емкость, номинальное удельное сопротивление, коэффициент антенного фактора;

- конструкция контактов.

- также могут использоваться дополнительные сведения, например, межслойная организация металлов и др.

Lef-файл базовых элементов включает в себя сведения для каждого отдельно взятого библиотечного элемента, такие как:

- название ячейки;

- классовая принадлежность ячейки (например, ячейка ядра или ячейка периферии);

- размеры ячейки;

- симметрия ячейки относительно осей X и Y;

- размерный класс ячейки;

- описание выводов ячейки: название, тип (input, output, inout), коэффициент антенного фактора, топологический слой вывода, координаты вывода.

После окончания первичного синтеза топологии оцениваются временные параметры топологии, базирующиеся на информации, получаемой из tbl-файла и списка задержек для каждой ячейки, извлекаемой из lib-файла. Tbl-файл представляет собой таблицу данных о паразитных приборах, возникающих в результате трассировки, таких как емкости и сопротивления шин разводки. Эти данные могут варьироваться в зависимости от длины шины, типа взаимодействия слоев, нагрузочной способности шины и многих других факторов.

Verilog-файл, содержит полный список Verilog-моделей базовых библиотечных ячеек и используется для синтеза электрической схемы. Каждая ячейка в этом файле имеет:

- название;

- перечисление входов и выходов;

- функциональное описание.

Перечисленные файлы содержат все основные сведения о составе библиотеки, предназначенной для автоматического синтеза схемы и топологии и правила размещения, трассировки и оптимизации топологии.

Также в составе стандартного Design Kit присутствуют управляющие файлы физической верификации (drc, ext, lvs), технологические файлы среды окружения (tf, drf) и многие другие, необходимые как для автоматизированного, так и для ручного проектирования устройств.

Библиотеки для разных технологических процессов, как правило, имеют похожую структуру. В большинстве случаев можно проследить следующую структуру библиотек:

- технологическая папка, включающая в себя техфайлы настройки среды окружения;

- папка с примитивами в форматах layout, schematic и др. графические представления базовых ячеек;

- папка Verilog-описаний базовых ячеек;

- директории, содержащие lef- и lib-файлы.

Описание и назначение слоев технологических примитивов, ячеек, устройств и межсоединений

Назначение всех слоев и способы работы с ними при ручном проектировании топологии цифровых схем не изменяются и остаются такими же, как и при проектировании аналоговых схем, т.е. пересечением топологических слоев можно формировать приборы топологии. Однако при автоматическом синтезе топологии изменяются правила работы с некоторыми слоями.

Все ячейки и примитивы технологической библиотеки построены на основе пересечения группы слоев. При этом, как правило, библиотечные элементы, предназначенные для синтеза топологии, включают в свою структуру лишь трассировку межсоединений первым металлом (MET1). сложные ячейки могут включать в себя два металла. На этом сходство ячеек для ручной и автоматической разработки заканчивается.

Современные УБИС, выполненные по субмикронной технологии, имеют в своем арсенале пять и более слоев металла. При автоматизированной разработке топологии изменяется глобальное назначение всех слоев металлизации. Всем слоям назначаются разрешенные направления расположения или трассировки. Как правило, слои металла, имеющие нечетный номер, используются для трассировки в горизонтальной плоскости, а четные в вертикальной.

На рис. 2.6 вертикальная разводка шин питания (широкие шины) выполнена в соответствии с требованиями слоем MET2, а горизонтальная в слое МЕТ1. Трассировка межсоединений (тонкий металл на рисунке) выполнялась для горизонтальных шин слоем МЕТ 3, а для вертикальных - слоем МЕТ 4.

Слой МET1 используется для построения базовых библиотечных ячеек. Данный слой не участвует в трассировке межсоединений. Дополнительно слой МЕТ1 может использоваться для прокладки частей колец, а также разводки шин питания в горизонтальном положении. Верхний слой металла, имеющий наибольший номер, например, МЕТ5, используется при трассировке больших схем для организации системы питания, т.к. обычно толщина верхнего слоя максимальна по сравнению с прочими слоями металлизации. Все остальные слои металлизации могут быть использованы для трассировки межсоединений.

Рис. 2.6. Шины питания и трассировка межсоединений

В общий состав слоев добавляется дополнительный слой, необходимый синтезатору топологии для определения габаритов ячеек – это слой «boundary». Данный слой содержит любая библиотечная ячейка. Габариты слоя являются определяющими при размещении библиотечных ячеек и блока. Обычно габариты слоя полностью перекрывают топологию ячейки, но, в некоторых случаях, структуры ячейки могут выходить за габариты «boundary».

Существует градация ячеек по размеру, которая характеризуется кратностью к самой минимальной по габаритам ячейке. Высота любой ячейки библиотеки одинакова, варьируется лишь ширина. При этом определяется минимальная ширина ячейки, которая затем может умножаться на коэффициент два, три, четыре и т.д. Очень важно при самостоятельном проектировании библиотечного элемента соблюдать описанную закономерность.

СФ-блоки, не входящие в состав библиотеки, также должны быть ограничены слоем «boundary». Для СФ-блоков требования к высоте не предъявляются.

Соседние файлы в предмете [НЕСОРТИРОВАННОЕ]