Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Учебное пособие 700537.doc
Скачиваний:
75
Добавлен:
01.05.2022
Размер:
44.55 Mб
Скачать

3.3.4. Формирование сток – истоковых областей

При масштабировании МДПТ к параметрам слоев сток - истоковых областей предъявляются все более жесткие требования с целью подавления короткоканальных эффектов, снижения последовательного сопротивления и повышения надежности. В связи с конфликтным характером требований каждому поколению технологии изготовления MДПT соответствует оптимальный диапазон значений глубины залегания pn-перехода сток - истоковых областей, контактирующих с каналом (SDE-области - Source-Drain Extension) и соединяющих его с глубокими сток - истоковыми областями, в которых формируются контактные окна (CSD-области - Contact Source-Drain):

Длина затвора (мкм)

0,5

0,35

0,25 .

0,18

0,15

0,13

Глубина залегания - перехода (нм)

100-200

70-150

50-100

36-72

30-60

26-52

Поверхностная концентрация (см-3)

(2-5)·1017

1·1018

1·1018

1·1019

2·1019

5·1019

Глубина залегания - перехода (нм)

180-350

150-250

100-200

70-140

60-120

50-120

При этом поверхностную концентрацию примеси в SDE - областях при масштабировании увеличивают с целью ограничения роста поверхностного сопротивления.

Формирование слоев SDE- областей с указанными параметрами потребовало значительного совершенствования технологических процессов легирования. Так, например, при изготовлении Р-МДПТ с Lg≤ 0,5 мкм необходимо использовать имплантацию ионов бора и BF2 с энергией E≤ 10 кэВ и быстрый термический отжиг вместо отжига в горизонтальных печах. При этом новым важным фактором, ограничивающим возможность уменьшения глубины залегания pn-переходов в SDE- областях, является эффект нестационарной ускоренной диффузии примеси (TED-эффект, Transient Enhanced Diffusion), влияние которого проявляется в значительном увеличении (на два-три порядка) коэффициента диффузии. Возникновение TED-эффекта обусловлено генерацией в имплантированных слоях избыточной концентрации межузельных атомов, а также процессами образования, эволюции и растворения дефектов структуры кремния во время отжига. Из-за большой величины коэффициента диффузии межузельных атомов они оказывают заметное влияние также на диффузию примесей в областях, расположенных вблизи зоны имплантации; так, например, при имплантации и отжиге CSD - слоев возможно воздействие TED - эффекта на перераспределение примеси в области канала и SDE - областях. Влияние TED - эффекта необходимо учитывать также и при выборе режима предварительной имплантации аморфизирующих ионов. В связи с высокой энергией активации диффузии межузельных атомов (~ 5 эВ) в сравнении с энергией активации диффузии легирующих примесей (~ 4 эВ) влияние TED - эффекта уменьшается с увеличением температуры отжига. Это явилось дополнительным обстоятельством, обусловившим применение быстрых термических процессов для высокотемпературного отжига.

К термическим процессам отжига сток - истоковых областей предъявляются требования снижения термического бюджета, увеличения степени электрической активации примеси, повышения воспроизводимости и однородности параметров слоев, а также и минимизации плотности структурных дефектов и вредных примесей в кремнии. Важным требованием к процессу термического отжига является ограничение роли переходных термических процессов на этапах нарастания и спада температуры кремниевых пластин. При уменьшении глубины залегания сток - истоковых областей указанные требования все в меньшей степени удовлетворяются при использовании горизонтальных печей. Технологией, способной в значительной степени удовлетворить указанным требованиям, является быстрый термический отжиг (RTA = Rapid Thermal Annealing) с индивидуальной обработкой пластин, в реакторах с холодными и горячими стенками /8/.

По мере уменьшения глубины залегания pn-переходов все в большей степени проявляется влияние на характеристики МДПТ теневого эффекта при имплантации в сток - истоковые области. Для исключения асимметрии характеристик транзисторов, вызываемой эффектом затенения, используют имплантацию ионов вертикальным пучком с предварительной аморфизацией либо пучком с углом наклона 7° с поворотом пластин на 90° и 25%-ной дозой в каждом из положений пластины.

Все возрастающее усложнение процесса легирования мелкозалегающих слоев с использованием ионной имплантации привело к развитию альтернативных методов, исключающих влияние ТЭД и теневого эффектов. Наиболее перспективным для создания ультрамелких SDE - слоев является метод легирования с использованием ионно-плазменной имплатации (Plasma Doping Plasma Immersion Implantation), диффузия из стеклообразных источников и из газовой фазы в режиме высокотемпературной быстрой термообработки. Однако в настоящее время указанные методы легирования не доведены до массового применения.

В связи с тенденцией увеличения поверхностной концентрации примеси и крутизны концентрационного профиля SDE - слоев проблема обеспечения надежности масштабируемых МДПТ, несмотря на снижение рабочего напряжения, продолжает оставаться ограничивающим фактором.

Для повышения надежности МДПТ используют 3 основных метода, связанных с выбором физической структуры стоковых областей: 1) уменьшают скорость генерации горячих носителей, осуществляя пространственное разделение положения максимума напряженности латерального электрического поля и максимума плотности тока канала; 2) уменьшают интенсивность инжекции горячих носителей в окисел, смещая положение максимума скорости ударной ионизации (максимума плотности тока канала) вглубь кремния; 3) обеспечивают положение максимума латерального электрического поля в области, перекрытой затвором. В МДПТ с полностью перекрытой затвором областью слаболегированного стока, где велика составляющая поперечного электрического поля, снижается интенсивность генерации и инжекции горячих носителей в окисел, а кроме того, уменьшается последовательное сопротивление стока благодаря аккумуляции носителей под воздействием поля затвора. Наиболее предпочтительным конструктивно-технологическим вариантом структуры стока МДПТ, в котором выполняются вышеуказанные рекомендации, является структура LATID (Large-Angle-Tilt-lmplanted Drain), в которой SDE - области формируются имплантацией ионов с большим углом наклона пучка (45°) относительно поверхности кремния, так как он удовлетворяет всем условиям подавления эффекта горячих носителей.

На рис. 3.45 представлены результаты моделирования распределения тока канала в n--области при плотности захваченных электронов в подзатворном окисле = 2-1012 см-2, которые иллюстрируют преимущества МОПТ со структурой LATID. Благодаря перекрытию затвором участка n--области, в котором располагается максимум Elat, захват носителей в окисле в значительно меньшей мере влияет на траекторию движения носителей, а также на плотность тока в канале у поверхности кремния.

В данном случае снижение поверхностной плотности тока составляет 20% в сравнении с 80%-ным снижением в LDD – транзисторе. Вследствие указанных особенностей LATID - транзистора минимум зависимости тока подложки Isub,max от дозы имплантации фосфора в n--область соответствует минимуму деградации тока стока ΔId/Id. Следует отметить, что доза ионов фосфора, при которой достигается минимум Isub,max и ΔId/Id в LATID - транзисторе, более чем в 2 раза превышает соответствующую дозу в LDD - транзисторе. Таким образом, конструкция LATID - транзистора не только обеспечивает повышение надежности и срока его службы, но и снижение паразитного сопротивления сток - истоковых областей.

Очевидно, что указанные характеристики LATID - транзистора зависят от угла наклона пучка и энергии ионов, имплантируемых в n--область. При увеличении угла наклона пучка ионов фосфора изменяется соотношение между вертикальным и латеральным распространением примеси в n--области. Экспериментальные исследования зависимости максимального тока подложки от угла наклона ионного пучка показывают, что минимальная величина тока обеспечивается при значении угла наклона пучка около θ=45° (рис. 3.45).

Ключевой проблемой при масштабировании МДПТ является подавление короткоканальных эффектов. Используемое с этой целью повышение концентрации примеси в канале МДПТ влечет за собой снижение подвижности носителей и увеличение емкости pn-переходов. Применение ретроградного распределения примеси в канале, хоть и обеспечивает низкую поверхностную концентрацию примеси, характеризуется повышенной емкостью pn-переходов и слоя обеднения, что отрицательно сказывается на быстродействии и крутизне подпороговых характеристик. Альтернативным методом подавления короткоканальных эффектов МДПТ, ослабляющим вышеуказанные

Рис. 3.45. Полученные с помощью двумерного моделирования зависимости распределения по глубине плотности тока в канале у границы с n--областью стока (LDD) в случаях наличия или отсутствия фиксированного заряда. Рассматривались N-МДПТ с Leff= 0,3 мкм, имеющие LDD- область без перекрытия ее затвором (а) или же полностью перекрываемую затвором (b) FOLD-структуру. Условия моделирования: Vg= 3,3 В; Vd= 0,05 В; (плотность захваченного заряда над LDD- областью Q=2·1012 см-2)

противоречия является формирование локальных, так называемых Halo- или Роскеt – областей, имеющий противоположный тип проводимости, путем латерального легирования канала вблизи сток - истоковых областей (рис. 3.46).

Эта конструкция позволяет улучшить короткоканальные характеристики транзистора ΔVt(Lg), ΔVt-(VD) без увеличения подпорогового S - фактора, но не обеспечивает снижение емкости pn-переходов. Использование наклонной имплантации позволяет создавать pocket- области с меньшей глубиной залегания pn-переходов, чем Xj сток - истоковых слоев, благодаря чему формируются локальные pocket- области что позволяет значительно снизить емкость pn-переходов (рис. 3.49). Таким образом, в МДПТ с локальными pocket- областями обеспечивается снижение поверхностной концентрации примеси в канале и улучшения короткоканальных характеристик при незначительном увеличении паразитной емкости pn-переходов. МДПТ с подобными характеристиками позволяют создавать приборы, обладающие как высоким быстродействием, так и малой рассеиваемой мощностью. Дополнительное и важное достоинство использования pocket-областей заключается в том, что они создаются на последней стадии маршрута формирования структуры транзистора, в отличие от других методов подавления короткоканальных эффектов.

Рис. 3.46. Поперечное сечение симметричного N-МДПТ с дважды имплантируемыми LDD-областями (DI-LDD = Double Implanted LDD). Гало-область сформирована примесью - типа

При уменьшении длины затвора масштабируемых MДПТ ниже 0,13мкм, когда глубина залегания pn-перехода SDE-областей достигает 40нм, доминирующим параметром, ограничивающим ток стока Id,sat, становится последовательное сопротивление транзистора Rs. Кроме того, в МДПТ с длиной затвора менее 0,15 мкм усугубляется проблема предотвращения роста тока утечки pn-перехода после формирования силицидного контакта к сток - истоковым областям, так как толщину слоя силицида невозможно уменьшать пропорционально глубине залегания pn-перехода CDS-областей (Xj).

Указанные ограничения на минимально допустимые значения Xj,SDE и Xj,CDS сток - истоковых областей могут быть преодолены при использовании конструкции МДПТ с так называемыми приподнятыми (Elevated) сток - истоковыми областями. Наибольший эффект с точки зрения снижения последовательного сопротивления может быть достигнут в конструкции МДПТ со структурой S4D (Silicided-sidewall Source and Drain), в которой "приподнятые" сток-истоковые области самосовмещены непосредственно с SDE-областями.

Рис. 3.47. Последовательность основных технологических операций создания N-МДПТ с pocket-областями. (а) Формирование n-LDD-областей. (б) Наклонная имплантация примеси p-типа с целью создания halo-областей, проводимая после формирования спейсера. (с) Имплантация n+-областей истока/стока (образование pocket-области)

Поперечное сечение двух вариантов с МДПТ приподнятыми SD- областями приведено на рис. 3.48. В приведенных структурах на поверхности SD-областей осуществляется наращивание слоя кремния методом локальной эпитаксии. В результате такие структуры позволяют одновременно обеспечить улучшение короткоканальных характеристик и уменьшение последовательного сопротивления и тока утечки МДПТ.

Рис. 3.48. Поперечные сечения, демонстрирующие структуру МДПТ: (а) с приподнятыми областями истока - стока (два варианта: ESDI и ESD2); (b) с SDE-областью

Изготовление МОПТ с приподнятыми SD-областями возможно двумя альтернативными методами: 1) легирование сток - истоковых областей осуществляется после наращивания эпитаксиального слоя (мышьяк, см-2; = 80 кэВ); 2) до операции эпитаксиального наращивания ( см-2; = 15 кэВ). Приведенные на рис. 3.48 варианты ESD- структуры имеют различные характеристики. В первом варианте структуры глубина залегания pn-перехода под фаской эпитаксиального слоя оказывается больше, чем во втором варианте, что приводит к ухудшению короткоканальных характеристик транзистора. С другой стороны, структуры, формируемые по второму варианту, характеризуются увеличенными токами утечки обратносмещенных pn-переходов после формирования слоя силицида, что связано с уменьшением глубины залегания pn-перехода Xj и образованием фаски по периметру активных областей.

Проблема большого тока утечки pn-перехода решена введением операций формирования второго окисного спейсера (рис. 3.49). При этом исключается увеличение глубины залега-

Рис. 3.49. Поперечные сечения трех вариантов МДПТ - структур с приподнятыми сток/истоковыми областями: а) эпитаксиалъный слой с фаской, b) эпитаксиальный слой без фаски, с) эпитаксиальный слой с фаской, закрытой вторым спейсером

ния pn-перехода в области фаски, а край CSD- области отдаляется от затвора. Благодаря этому короткоканальные характеристики транзистора улучшаются, однако ток стока уменьшается из-за повышения последовательного сопротивления.

Наибольший эффект с точки зрения уменьшения последовательного сопротивления может быть достигнут в конструкции МДПТ, в которой приподнятые сток - истоковые области самосовмещены непосредственно с SDE-областями. На рис. 3.50 представлено поперечное сечение и траектории движения носителей в предложенной структуре транзистора (S4D - Silicided Silicon-Side-wall Source and Drain). Помимо снижения последовательного сопротивления МДПТ, такая структура обеспечивает также улучшение короткоканальных характеристик благодаря большей эффективной длине канала Leff в сравнении с LDD-МДПТ, так как латеральная диффузия примеси в SDE-областях происходит от внешней границы диэлектрического спейсера (см. рис. 3.50).

Последовательность этапов изготовления, МДПТ со структурой S4D приведена на рис. 3.51. После фотолитографии и анизотропного травления слоя легированного аморфного кремния для затворов формируют спейсеры из нитрида кремния толщиной 20 нм, затем осаждают слой легированного аморфного кремния (150-250 нм) для приподнятых SDE-областей. Формирование спейсера осуществляют анизотропным травлением по расчетному времени, исходя из скорости травления аморфного кремния. Незначительное подтравливание подложки при этом является допустимым, так как после этой операции производится ионная имплантация примеси в CSD-области МДПТ (мышьяк, = 30 кэВ, см-2; бор, = 15 кэВ, см-2). Во время постимплантационного отжига (1000°С, 10с) атомы примеси диффундируют из поликремниевого спейсера в подложку, в результате чего формируются SDE-области. Глубина залегания n+p-переходов при указанных условиях составляет 32 нм при поверхностной концентрации Ns=1·1020 см-3, а для p+n-переходов - 50 нм при Ns=2·1020 см-3. Для успешного выполнения операции диффузии примесей в SDE-области осаждение аморфного кремния осуществляется при низкой температуре (350°С), что обеспечивает матую концентрацию кислорода на границе раздела с подложкой.

Рис. 3.50. Поперечные сечения и линии тока Р-МДПТ, изготавливаемых с помощью различных технологий. (а) LDD-MДПT. (b) SPDD-MДПT (с областями истока/стока, формируемыми методом диффузии из твердой фазы (SPDD = Solid-Phase Diffused Drain), (с) S4D-МДПТ с областями сток/истока, содержащими полицидный спейсер, самосовмещенный с SDE-областями (S4D = Silicided Silicon-Sidewall Source and Drain)

После формирования силицида (40 нм) на поликремниевых спейсерах и на CSD-областях производят обтравливание спейсеров в тех частях периметра затвора, которые приводят к закорачиванию стока и истока.

Рис. 3.51. Последовательность основных технологических этапов формирования S4D- структуры для Р-МДПТ и N-MДПT