Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Лабораторная работа_3 итог.docx
Скачиваний:
13
Добавлен:
23.08.2019
Размер:
1.67 Mб
Скачать

3. Реализация схем, используя vhdl-программу, на примере d-триггера.

Создаем VHDL Module. В открывшемся окне указываем входные и выходные сигналы схемы. На примере D-триггера окно первоначальных параметров будет выглядеть следующим образом (рис. 21):

Рис.21 Первоначальные параметры VHDL-программы.

Создается шаблон области для программы, описывающей работы электронной схемы, в нашем случае D-триггера (рис 22). В первых строках указано какие библиотеки будут использоваться в программе, далее идет описание входных и выходных сигналов, которые были указаны при создании области.

Рис.22. Шаблон VHDL-программы.

Следующим этапом будет описание работы схемы. Для этого каждому проводнику между логическими элементами схемы присваиваем определенное обозначение и описываем последовательность операций, выполняемых каждым логическим элементом (рис.23).

Рис.23. Текст программы, описывающей работу схемы D-триггера.

После проверки на ошибки запускаем симулирование программы, которое позволяет сравнить полученные результаты (рис.24) с таблицей истинности для D-триггера.

Рис. 24. Результаты работы Vhdl-программы.

3.Задание к работе

1. Изучить работу RS-триггера на дискретных элементах. Собрать триггер на элементах "И-НЕ". Проверить работу триггера, подавая необходимые управляющие сигналы согласно его таблице истинности. Повторить исследования для триггера, собранного на элементах "ИЛИ-НЕ".

2. Изучить работу JK-триггера на дискретных элементах. Собрать триггер и проверить его работу, подавая необходимые управляющие сигналы согласно его таблице истинности.

3. Изучить работу D-триггера. Проверить работу триггера, подавая необходимые управляющие сигналы согласно его таблице истинности.

4. Изучить работу JK-триггера. Проверить работу триггера, подавая необходимые управляющие сигналы согласно его таблице истинности.

5. Написать и проверить работоспособность VHDL-программы для JK-триггера и D-триггера.

Контрольные вопросы

  1. Приведите классификацию триггеров.

  2. Приведите таблицу истинности и принципиальную схему асинхронного RS-триггера. Начертите временные диаграммы его работы.

  3. Приведите таблицу истинности и принципиальную схему синхронного RS-триггера. Начертите временные диаграммы его работы.

  4. Приведите таблицу истинности и принципиальную схему двухступенчатого RS-триггера. Начертите временные диаграммы его работы.

  5. Приведите таблицу истинности и принципиальную схему JK-триггера. Начертите временные диаграммы его работы.

  6. Приведите таблицу истинности и принципиальную схему синхронного JK-триггера. Начертите временные диаграммы его работы.

  7. Приведите таблицу истинности и принципиальную схему T-триггера. Начертите временные диаграммы его работы.

  8. Приведите таблицу истинности и принципиальную схему асинхронного D-триггера. Начертите временные диаграммы его работы.

  9. Приведите примеры использования триггеров.

  10. Начертите схему включения интегрального JK-триггера в качестве D-триггера.

  11. Начертите схему включения интегрального JK-триггера в качестве T-триггера.