Добавил:
Upload Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Лабораторная работа 2 итог.docx
Скачиваний:
12
Добавлен:
23.08.2019
Размер:
1.24 Mб
Скачать

2.1.2 Проверка работоспособности схемы

Обычно после того, как был создан проект с помощью схемотехнического редактора, перед этапом автоматизированного размещения проекта в кристалле, должен быть этап предварительного функционального моделирования для выявления ошибок и проверки работоспособности проектируемого проекта или отдельных его частей. Проверка работоспособности схемы производится при помощи симулятора ISim. Для этого в режиме симуляции выделяется исследуемая схема и запускается ISim Simulator. (Подробное описание данного шага приведено в лабораторной работе 1).

По результатам симулирования работы схемы (рис.13) составляется таблица истинности, на основании которой делается вывод о правильности функционирования дешифратора.

Рис.13. Результат проверки работоспособности схемы.

2.1.3 Реализация на языке vhdl

Создается модуль VHDL “DecoderLogicVHDL.vhd”, описание этой операции приведено в лабораторной работе №1. Для схемы дешифратора записываются логические уравнения, описывающие функционирование каждого элемента (рис. 14).

Рис. 14. Описание функционирования дешифратора на языке VHDL.

Затем путем нажатия кнопки Create Schematic Symbol в режиме Implementation создается визуальный компонент для данного модуля. Далее производится проверка работоспособности данной программы. Результаты сравниваются и таблицей истинности соответствующей дешифратору.

2.2 Шифратор.

Такие устройства выполняют задачи, обратные дешифрации. С их помощью активным значениям определенных входных сигналов можно поставить в соответствие выходные коды (комбинации выходных сигналов).

Таблица истинности для кодера с четырьмя входами изображена в таблице 2.2.

Таблица 2.2 – Таблица истинности для четырёхразрядного шифратора

y2

y1

x3

x2

x1

x0

0

0

0

0

0

1

0

1

0

0

1

0

1

0

0

1

0

0

1

1

1

0

0

0

Для каждого выхода записываем логическое выражение. На основе СДНФ:

По этой системе выражений несложно построить схему требуемого шифратора (рис.15).

Рис. 15. Схема шифратора.

Условное графическое изображение шифратора (рис.16).

Рис. 16. Создание элемента шифратора.

2.2.1 Создание принципиальной схемы

Создадим новый проект, задаем название FPGA_lab2DC. Зададим имя нового модуля, File name – CD.

Нарисуем принципиальную схему шифратора (рис.17).

Рис. 17. Принципиальная схема шифратора.

Теперь нам необходимо представить данную схему шифратора в виде отдельного элемента, назовём его CD. На главной панели выбираем вкладку ToolsSymbol WizardОткроется окно (рис.18) В строке Using schematic выберем имя элемента – CDNextОткроется окно (См. рис.19) В этом окне задаются параметры нашего кодера.

Рис. 18

Рис. 19

Далее нажимаем NextFinish элемент создан (рис.20)

Новый элемент добавился в библиотеку элементов и теперь мы можем его использовать в любой схеме.

Рис. 20. Элемент шифраторы.

Вызываем мастер создания New Source Wizard, чтобы создать новую схему Schematic, необходимую для дальнейшей работы. Зададим имя нового модуля, File name –Lab2_schema (рис.21).

Рис. 21. Схема шифратора.

Осталось создать файл param с расширением *.ucf. В нём опишем привязку выводов проекта к входам-выводам кристалла (рис.22).

Рис. 22

Последовательность дальнейших действий такая же, как при проверке работоспособности схемы дешифратора. При VHDL-программировании для схемы шифратора записываются логические уравнения, описывающие функционирование каждого элемента.