Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

Учебное пособие 800512

.pdf
Скачиваний:
20
Добавлен:
01.05.2022
Размер:
3.88 Mб
Скачать

9

С

D

Q

10

С

D

Q

70

2. Для выполнения второго пункта лабораторной работы

1

2

71

3

4

72

5

6

73

7

8

74

9

10

75

Лабораторная работа № 3

РАЗРАБОТКА И ИССЛЕДОВАНИЕ ДЕШИФРАТОРА

ИШИФРАТОРА Цель работы

1.Получение практических навыков в разработке и исследовании дешифраторов и шифраторов в заданном базисе.

2.Привитие навыков проведения контроля работоспособности цифровых функциональных узлов комбинационного типа.

3.Приобретение практических навыков использования системы виртуального схемотехнического моделирования Xilinx ISE Design Suite 14.1.

Содержание работы

1.Разработка и исследование шифратора в заданном

базисе.

2.Разработка и исследование дешифратора в заданном

базисе.

Литература

1.Бибило П.Н. Основы языка VHDL: Учебное пособие. Изд. 6-е. - М.: Книжный дом «ЛИБРОКОМ», 2014. – 328 с.

2.Бабак В. П., Корченко А. Г., Тимошенко Н. П., Филоненко С. Ф. VHDL. Справочное пособие по основам языка – М.: Издательский дом «Додэка-XXI», 2008. – 224 с.

3.Тарасов И.Е. Разработка цифровых устройств на основе ПЛИС Xilinx с применением языка VHDL. –Изд. 2-е. – М.: Горячая линия – Телеком, 2015. – 252 с.

76

Содержание отчета

Цель работы.

1. Разработка шифратора;

1.1Таблица истинности в соответствии с индивидуальным заданием;

1.2Минимизированная переключательная функция (ПФ) в заданном базисе;

1.3Реализация ПФ в схемотехническом редакторе Xilinx ISE Design Suite 14.1;

1.4Проверка работоспособности в симуляторе ISim с приведением временной диаграммы;

1.5Код программы на языке VHDL для описания шифратора с соответствии с индивидуальным заданием;

1.6Проверка работоспособности в симуляторе ISim с приведением временной диаграммы;

1.7Трансляция разработанного проекта, программирование ПЛИС;

2. Разработка дешифратора;

2.1Таблица истинности в соответствии с индивидуальным заданием;

2.2Минимизированная ПФ в заданном базисе;

2.3Реализация ПФ в схемотехническом редакторе Xilinx ISE Design Suite 14.1;

2.4Проверка работоспособности в симуляторе ISim с приведением временной диаграммы;

2.5Код программы на языке VHDL для описания дешифратора с соответствии с индивидуальным заданием;

2.6Проверка работоспособности в симуляторе ISim с приведением временной диаграммы;

2.7Трансляция разработанного проекта, программирование ПЛИС.

3. Выводы.

77

Теоретическая часть

Шифратором называется комбинационное цифровое устройство с m входами и n выходами, преобразующий сигнал логической единицы на одном из входов в n-разрядный параллельный двоичный код.

Максимальное число входов mmax определяется числом возможных кодовых комбинаций и составляет 2n. Условное графическое обозначение шифратора показано на рис. 2.12. Входные шины нумеруются от 0 до m-1, а на выходных шинах обозначается вес двоичного разряда 20, 21, 22, 23, … 2n-1. Как следует из определения шифратора, только небольшая часть наборов входных переменных таблицы истинности соответствует кодовым комбинациям на выходе, остальные 2n - m наборов являются запрещенными. Поэтому оператор, выполняемый шифратором, удобно задавать сокращенной таблицей истинности, содержащей только m строк.

Рис. 2.12. Условное графическое обозначение шифратора

Дешифратором называется комбинационное цифровое устройство с m входами и n выходами, преобразующий m-разрядный параллельный код, поступающий на входы, в сигнал логической единицы на одном из выходов.

Каждому выходу соответствует своя кодовая комбинация на входах. Условное обозначение дешифратора показано на

78

рис. 2.13. Входы обозначаются весами двоичных разрядов от 20 до 2m - 1, а выходы нумеруются от 0 до (n-1). Так как на m входах может быть 2m наборов входных переменных, то максимальное число выходов равно nmax = 2m. Если используются все выходы, дешифратор называется полным, если же число выходов меньше 2m – неполным.

Рис. 2.13. Условное графическое обозначение дешифратора

79