Добавил:
СПбГУТ * ИКСС * Программная инженерия Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:

3 семестр / Примеры отчетов / ППЭВС. 3 семестр. Лаб. 2

.docx
Скачиваний:
15
Добавлен:
22.03.2020
Размер:
212.06 Кб
Скачать

ФЕДЕРАЛЬНОЕ ГОСУДАРСТВЕННОЕ БЮДЖЕТНОЕ ОБРАЗОВАТЕЛЬНОЕ УЧРЕЖДЕНИЕ ВЫСШЕГО ОБРАЗОВАНИЯ "САНКТ-ПЕТЕРБУРГСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ ТЕЛЕКОММУНИКАЦИЙ ИМ. ПРОФ. М. А. БОНЧ-БРУЕВИЧА"

Факультет инфокоммуникационных сетей и систем

Кафедра программной инженерии и вычислительной техники

ЛАБОРАТОРНАЯ РАБОТА №2

«ИССЛЕДОВАНИЕ ДЕШИФРАТОРА И ШИФРАТОРА»

по дисциплине «Программное проектирование элементов вычислительных систем»

Выполнил:

студент 2 курса

дневного отделения

группы ИКПИ-81

Коваленко Л. А.

Санкт-Петербург 2019

А. Цель работы

Цель работы: получение основных навыков проектирования схем в редакторе пакета Quartus 15. Изучение функционирования простейшего КЦУ.

Б. Выполнение работы

1. Создание проекта и файла «dec.v»:

module dec

(input wire [2:0]adr,

output wire [7:0]mng);

reg [7:0]y;

assign mng=y;

always @(adr)

begin

case(adr)

3'b000: y=8'b00000001;

3'b001: y=8'b00000010;

3'b010: y=8'b00000100;

3'b011: y=8'b00001000;

3'b100: y=8'b00010000;

3'b101: y=8'b00100000;

3'b110: y=8'b01000000;

3'b111: y=8'b10000000;

default y=8'bxxxxxxxx;

endcase;

end

endmodule

2. Далее компиляция и отображение полученного устройства:

3. Функциональная симуляция устройства при Count Value (20ns) → adr.

4. Создание нового файла «cod.v» в проекте со следующим кодом:

module cod

(input wire [7:0]mng,

output wire [2:0]adr);

reg [2:0]cd;

assign adr=cd;

always @(mng)

begin

case(mng)

8'b00000001: cd=3'b000;

8'b00000010: cd=3'b001;

8'b00000100: cd=3'b010;

8'b00001000: cd=3'b011;

8'b00010000: cd=3'b100;

8'b00100000: cd=3'b101;

8'b01000000: cd=3'b110;

8'b10000000: cd=3'b111;

default: cd=3'bzzz;

endcase;

end

endmodule

5. Функциональная симуляция устройства при Count Value (20ns) → mng.